Author of the publication

Optimizing GPU energy efficiency with 3D die-stacking graphics memory and reconfigurable memory interface.

, , , and . ACM Trans. Archit. Code Optim., 10 (4): 24:1-24:25 (2013)

Please choose a person to relate this publication to

To differ between persons with the same name, the academic degree and the title of an important publication will be displayed. You can also use the button next to the name to display some publications already assigned to the person.

 

Other publications of authors with the same name

An efficient compiler framework for cache bypassing on GPUs., , , and . ICCAD, page 516-523. IEEE, (2013)GraphH: A Processing-in-Memory Architecture for Large-Scale Graph Processing., , , , , , , , and . IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 38 (4): 640-653 (2019)Performance-centric register file design for GPUs using racetrack memory., , , , , , , and . ASP-DAC, page 25-30. IEEE, (2016)Energon: Towards Efficient Acceleration of Transformers Using Dynamic Sparse Attention., , , and . CoRR, (2021)COPPER: a combinatorial optimization problem solver with processing-in-memory architecture., , , , , , and . Frontiers Inf. Technol. Electron. Eng., 24 (5): 731-741 (May 2023)Anomaly Crossing: A New Method for Video Anomaly Detection as Cross-domain Few-shot Learning., , , , and . CoRR, (2021)Editorial for the special issue on memory architectures and systems for modern applications., , and . CCF Trans. High Perform. Comput., 4 (4): 367-369 (December 2022)NoC-Sprinting: Interconnect for Fine-Grained Sprinting in the Dark Silicon Era., , and . DAC, page 160:1-160:6. ACM, (2014)Three-dimensional Integrated Circuits: Design, EDA, and Architecture., , , , and . Foundations and Trends in Electronic Design Automation, 5 (1-2): 1-151 (2011)Exploring the vulnerability of CMPs to soft errors with 3D stacked nonvolatile memory., , , and . JETC, 9 (3): 22:1-22:22 (2013)