Author of the publication

Frequency and time domain analysis of power delivery network for monolithic 3D ICs.

, , , , , and . ISLPED, page 1-6. IEEE, (2017)

Please choose a person to relate this publication to

To differ between persons with the same name, the academic degree and the title of an important publication will be displayed. You can also use the button next to the name to display some publications already assigned to the person.

 

Other publications of authors with the same name

Near-threshold computing in FinFET technologies: opportunities for improved voltage scalability., , , , , , , , and . DAC, page 76:1-76:6. ACM, (2016)Standard Cell Library Design and Optimization Methodology for ASAP7 PDK., , , , , and . CoRR, (2018)Mechanical Stress Aware Optimization for Leakage Power Reduction., , , , and . IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 29 (5): 722-736 (2010)Transistor-Specific Delay Modeling for SSTA., , , , and . DATE, page 592-597. ACM, (2008)Monolithic 3D IC design: Power, performance, and area impact at 7nm., , , , , , , and . ISQED, page 41-48. IEEE, (2016)STEEL: a technique for stress-enhanced standard cell library design., , , and . ICCAD, page 691-697. IEEE Computer Society, (2008)Design benchmarking to 7nm with FinFET predictive technology models., , , , and . ISLPED, page 15-20. ACM, (2012)The past present and future of design-technology co-optimization., , , , , and . CICC, page 1-8. IEEE, (2013)Standard cell library design and optimization methodology for ASAP7 PDK: (Invited paper)., , , , , and . ICCAD, page 999-1004. IEEE, (2017)Self-aligned double patterning aware pin access and standard cell layout co-optimization., , , , and . ISPD, page 101-108. ACM, (2014)