Author of the publication

Inter-Tier Process-Variation-Aware Monolithic 3-D NoC Design Space Exploration.

, , , , and . IEEE Trans. Very Large Scale Integr. Syst., 28 (3): 686-699 (2020)

Please choose a person to relate this publication to

To differ between persons with the same name, the academic degree and the title of an important publication will be displayed. You can also use the button next to the name to display some publications already assigned to the person.

 

Other publications of authors with the same name

Thermal hotspot reduction in mm-Wave wireless NoC architectures., , , , , , and . ISQED, page 645-652. IEEE, (2014)Learning-based Application-Agnostic 3D NoC Design for Heterogeneous Manycore Systems., , , , , and . CoRR, (2018)An energy harvesting wireless sensor node for IoT systems featuring a near-threshold voltage IA-32 microcontroller in 14nm tri-gate CMOS., , , , , , , , , and 2 other author(s). VLSI Circuits, page 1-2. IEEE, (2016)Design Space Exploration for Wireless NoCs Incorporating Irregular Network Routing., , , , , , and . IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 33 (11): 1732-1745 (2014)Inter-Tier Process-Variation-Aware Monolithic 3-D NoC Design Space Exploration., , , , and . IEEE Trans. Very Large Scale Integr. Syst., 28 (3): 686-699 (2020)Imitation Learning for Dynamic VFI Control in Large-Scale Manycore Systems., , , , , , and . IEEE Trans. Very Large Scale Integr. Syst., 25 (9): 2458-2471 (2017)Power Management of Monolithic 3D Manycore Chips with Inter-tier Process Variations., , , , and . ACM J. Emerg. Technol. Comput. Syst., 17 (2): 13:1-13:19 (2021)HeM3D: Heterogeneous Manycore Architecture Based on Monolithic 3D Vertical Integration., , , , , and . ACM Trans. Design Autom. Electr. Syst., 26 (2): 16:1-16:21 (2021)HeM3D: Heterogeneous Manycore Architecture Based on Monolithic 3D Vertical Integration., , , , , and . CoRR, (2020)Power, Performance, and Thermal Trade-offs in M3D-enabled Manycore Chips., , , , , and . DATE, page 1752-1757. IEEE, (2020)