Author of the publication

A Method for the Systematic Generation of Audit Logs in a Digital Preservation Environment and Its Experimental Implementation In a Production Ready System.

, , , , , , , , and . iPRES, (2015)

Please choose a person to relate this publication to

To differ between persons with the same name, the academic degree and the title of an important publication will be displayed. You can also use the button next to the name to display some publications already assigned to the person.

 

Other publications of authors with the same name

Reply to discussion by B. Keller and R. Nance., and . Journal of Software Maintenance, 7 (5): 379-380 (1995)A fast, flexible, positive and negative adaptive body-bias generator in 28nm FDSOI., , , , , and . VLSI Circuits, page 1-2. IEEE, (2016)HEAT: Hardware-Efficient Automatic Tensor Decomposition for Transformer Compression., , , , , and . CoRR, (2022)A RISC-V Processor SoC With Integrated Power Management at Submicrosecond Timescales in 28 nm FD-SOI., , , , , , , , , and 4 other author(s). IEEE J. Solid State Circuits, 52 (7): 1863-1875 (2017)Verifying High-Level Latency-Insensitive Designs with Formal Model Checking., , , , , , and . CoRR, (2021)MAVIREC: ML-Aided Vectored IR-Drop Estimation and Classification., , , , , and . DATE, page 1825-1828. IEEE, (2021)Problem C: GPU Accelerated Logic Re-simulation : (Invited Talk)., , , and . ICCAD, page 70:1-70:4. IEEE, (2020)Clumpy high-z galaxies as a testbed for feedback-regulated galaxy formation, , , , , and . (2016)cite arxiv:1606.06739Comment: submitted to ApJ Letters, comments welcome.A 95.6-TOPS/W Deep Learning Inference Accelerator With Per-Vector Scaled 4-bit Quantization in 5 nm., , , , , , , , and . IEEE J. Solid State Circuits, 58 (4): 1129-1141 (2023)A Dual-Core RISC-V Vector Processor With On-Chip Fine-Grain Power Management in 28-nm FD-SOI., , , , , , , , , and 1 other author(s). IEEE Trans. Very Large Scale Integr. Syst., 28 (12): 2721-2725 (2020)