From post

Please choose a person to relate this publication to

To differ between persons with the same name, the academic degree and the title of an important publication will be displayed.

 

Другие публикации лиц с тем же именем

Sensor Signal Processing Using High-Level Synthesis With a Layered Architecture., , , , , , , , , и 4 other автор(ы). IEEE Embed. Syst. Lett., 10 (4): 119-122 (2018)A non-volatile reconfigurable offloader for wireless sensor nodes., , , , и . SIGARCH Comput. Archit. News, 40 (5): 87-92 (2012)Sub-μW standby power, <18 μW/DMIPS@25MHz MCU with embedded atom-switch programmable logic and ROM., , , , , , , , , и . VLSIC, стр. 86-. IEEE, (2015)Via-Switch FPGA: 65-nm CMOS Implementation and Evaluation., , , , , , , , , и 1 other автор(ы). IEEE J. Solid State Circuits, 57 (7): 2250-2262 (2022)0.5-V Highly Power-Efficient Programmable Logic using Nonvolatile Configuration Switch in BEOL., , , , , , , и . FPGA, стр. 236-239. ACM, (2015)Programmable cell array using rewritable solid-electrolyte switch integrated in 90nm CMOS., , , , , , , , , и 2 other автор(ы). ISSCC, стр. 228-229. IEEE, (2011)A 171k-LUT Nonvolatile FPGA using Cu Atom-Switch Technology in 28nm CMOS., , , , , , , , , и 1 other автор(ы). FPL, стр. 323-327. IEEE, (2020)Low-power programmable-logic cell arrays using nonvolatile complementary atom switch., , , , , , и . ISQED, стр. 330-334. IEEE, (2014)0.39-V, 18.26-µW/MHz SOTB CMOS Microcontroller with embedded atom switch ROM., , , , , , , , , и 8 other автор(ы). COOL Chips, стр. 1-3. IEEE Computer Society, (2015)Architecture of Reconfigurable-Logic Cell Array with Atom Switch: Cluster Size & Routing Fabrics (Abstract Only)., , , , , , , , , и . FPGA, стр. 269. ACM, (2015)