Author of the publication

Sub-μW standby power, <18 μW/DMIPS@25MHz MCU with embedded atom-switch programmable logic and ROM.

, , , , , , , , , and . VLSIC, page 86-. IEEE, (2015)

Please choose a person to relate this publication to

To differ between persons with the same name, the academic degree and the title of an important publication will be displayed. You can also use the button next to the name to display some publications already assigned to the person.

 

Other publications of authors with the same name

A Silicon-on-Thin-Buried-Oxide CMOS Microcontroller with Embedded Atom-Switch ROM., , , , , , , , , and 8 other author(s). IEEE Micro, 35 (6): 13-23 (2015)NanoBridge-Based FPGA in High-Temperature Environments., , , , , , , , , and 7 other author(s). IEEE Micro, 37 (5): 32-42 (2017)Solid-Electrolyte Nanometer Switch., , , , , , , , and . IEICE Trans. Electron., 89-C (11): 1492-1498 (2006)0.39-V, 18.26-µW/MHz SOTB CMOS Microcontroller with embedded atom switch ROM., , , , , , , , , and 8 other author(s). COOL Chips, page 1-3. IEEE Computer Society, (2015)Architecture of Reconfigurable-Logic Cell Array with Atom Switch: Cluster Size & Routing Fabrics (Abstract Only)., , , , , , , , , and . FPGA, page 269. ACM, (2015)28nm Atom-Switch FPGA: Static Timing Analysis and Evaluation., , , , , , , , , and 1 other author(s). IEICE Trans. Electron., 105-C (10): 627-630 (October 2022)A 2× logic density Programmable Logic array using atom switch fully implemented with logic transistors at 40nm-node and beyond., , , , , , , , , and 2 other author(s). VLSI Circuits, page 1-2. IEEE, (2016)ON-state retention of Atom Switch eNVM for IoT/AI Inference Solution., , , , , , , , , and 2 other author(s). IRPS, page 1-4. IEEE, (2020)A Chip-Stacked Memory for On-Chip SRAM-Rich SoCs and Processors., , , , , , , , and . IEEE J. Solid State Circuits, 45 (1): 15-22 (2010)Sub-μW standby power, <18 μW/DMIPS@25MHz MCU with embedded atom-switch programmable logic and ROM., , , , , , , , , and . VLSIC, page 86-. IEEE, (2015)