Author of the publication

A 1.17-pJ/b, 25-Gb/s/pin Ground-Referenced Single-Ended Serial Link for Off- and On-Package Communication Using a Process- and Temperature-Adaptive Voltage Regulator.

, , , , , , , , , , , , and . IEEE J. Solid State Circuits, 54 (1): 43-54 (2019)

Please choose a person to relate this publication to

To differ between persons with the same name, the academic degree and the title of an important publication will be displayed. You can also use the button next to the name to display some publications already assigned to the person.

 

Other publications of authors with the same name

A 2-to-20 GHz Multi-Phase Clock Generator with Phase Interpolators Using Injection-Locked Oscillation Buffers for High-Speed IOs in 16nm FinFET., , , , , , , , , and 1 other author(s). CICC, page 1-4. IEEE, (2019)A 0.297-pJ/Bit 50.4-Gb/s/Wire Inverter-Based Short-Reach Simultaneous Bi-Directional Transceiver for Die-to-Die Interface in 5-nm CMOS., , , , , , , , , and 1 other author(s). IEEE J. Solid State Circuits, 58 (4): 1062-1073 (2023)A 0.297-pJ/bit 50.4-Gb/s/wire Inverter-Based Short-Reach Simultaneous Bidirectional Transceiver for Die-to-Die Interface in 5nm CMOS., , , , , , , , , and 1 other author(s). VLSI Technology and Circuits, page 154-155. IEEE, (2022)A 0.190-pJ/bit 25.2-Gb/s/wire Inverter-Based AC-Coupled Transceiver for Short-Reach Die-to-Die Interfaces in 5-nm CMOS., , , , , , , , , and 1 other author(s). IEEE J. Solid State Circuits, 59 (4): 1146-1157 (April 2024)6.6 Reference-Noise Compensation Scheme for Single-Ended Package-to-Package Links., , , , , , , , , and 1 other author(s). ISSCC, page 126-128. IEEE, (2020)Parasitic-Aware Analog Circuit Sizing with Graph Neural Networks and Bayesian Optimization., , , , , and . DATE, page 1372-1377. IEEE, (2021)A 0.190-pJ/bit 25.2-Gb/s/wire Inverter-Based AC-Coupled Transceiver for Short-Reach Die-to-Die Interfaces in 5-nm CMOS., , , , , , , , , and 1 other author(s). VLSI Technology and Circuits, page 1-2. IEEE, (2023)TAG: Learning Circuit Spatial Embedding from Layouts., , , , , , and . ICCAD, page 66:1-66:9. ACM, (2022)A 4.7 T/11.1 T NMR Compliant 50 nW Wirelessly Programmable Implant for Bioartificial Pancreas In Vivo Monitoring., and . IEEE J. Solid State Circuits, 51 (2): 473-483 (2016)ParaGraph: Layout Parasitics and Device Parameter Prediction using Graph Neural Networks., , , and . DAC, page 1-6. IEEE, (2020)