Author of the publication

SMART: a single-cycle reconfigurable NoC for SoC applications.

, , , , , and . DATE, page 338-343. EDA Consortium San Jose, CA, USA / ACM DL, (2013)

Please choose a person to relate this publication to

To differ between persons with the same name, the academic degree and the title of an important publication will be displayed. You can also use the button next to the name to display some publications already assigned to the person.

 

Other publications of authors with the same name

Data-centric execution of speculative parallel programs., , , , and . MICRO, page 5:1-5:13. IEEE Computer Society, (2016)TPU v4: An Optically Reconfigurable Supercomputer for Machine Learning with Hardware Support for Embeddings., , , , , , , , , and 4 other author(s). ISCA, page 82:1-82:14. ACM, (2023)Unlocking Ordered Parallelism with the Swarm Architecture., , , , and . IEEE Micro, 36 (3): 105-117 (2016)Architectural techniques to unlock ordered and nested speculative parallelism.. Massachusetts Institute of Technology, Cambridge, USA, (2018)ndltd.org (oai:dspace.mit.edu:1721.1/121729).A scalable architecture for ordered parallelism., , , , and . MICRO, page 228-241. ACM, (2015)Towards Programmable Packet Scheduling., , , , , , , , , and . HotNets, page 23:1-23:7. ACM, (2015)SAM: Optimizing Multithreaded Cores for Speculative Parallelism., , , , and . PACT, page 64-78. IEEE Computer Society, (2017)Programmable Packet Scheduling at Line Rate., , , , , , , , , and . SIGCOMM, page 44-57. ACM, (2016)Effective Interplay between Sparsity and Quantization: From Theory to Practice., , , , , , , , , and 1 other author(s). CoRR, (2024)Programmable Packet Scheduling., , , , , , , , , and . CoRR, (2016)