Autor der Publikation

A Study on Performance and Power Efficiency of Dense Non-Volatile Caches in Multi-Core Systems.

, , , und . SIGMETRICS (Abstracts), Seite 27-28. ACM, (2017)

Bitte wählen Sie eine Person um die Publikation zuzuordnen

Um zwischen Personen mit demselben Namen zu unterscheiden, wird der akademische Grad und der Titel einer wichtigen Publikation angezeigt. Zudem lassen sich über den Button neben dem Namen einige der Person bereits zugeordnete Publikationen anzeigen.

 

Weitere Publikationen von Autoren mit dem selben Namen

High-endurance and performance-efficient design of hybrid cache architectures through adaptive line replacement., , und . ISLPED, Seite 79-84. IEEE/ACM, (2011)Design for scalability in enterprise SSDs., , und . PACT, Seite 417-430. ACM, (2014)Exploiting Data Longevity for Enhancing the Lifetime of Flash-based Storage Class Memory., , , und . SIGMETRICS (Abstracts), Seite 53. ACM, (2017)Variable Resistance Spectrum Assignment in Phase Change Memory Systems., , und . IEEE Trans. Very Large Scale Integr. Syst., 23 (11): 2657-2670 (2015)Boosting Access Parallelism to PCM-Based Main Memory., , , und . ISCA, Seite 695-706. IEEE Computer Society, (2016)An Analytical Performance Evaluation for WSNs Using Loop-Free Bellman Ford Protocol., , , und . AINA, Seite 568-571. IEEE Computer Society, (2009)A morphable phase change memory architecture considering frequent zero values., , , und . ICCD, Seite 373-380. IEEE Computer Society, (2011)A comprehensive power-performance model for NoCs with multi-flit channel buffers., und . ICS, Seite 470-478. ACM, (2009)Leveraging value locality for efficient design of a hybrid cache in multicore processors., , , und . ICCAD, Seite 1-8. IEEE, (2017)Optimizing energy consumption in GPUS through feedback-driven CTA scheduling., , , und . SpringSim (HPC), Seite 12:1-12:12. ACM, (2017)