Autor der Publikation

Bitte wählen Sie eine Person um die Publikation zuzuordnen

Um zwischen Personen mit demselben Namen zu unterscheiden, wird der akademische Grad und der Titel einer wichtigen Publikation angezeigt. Zudem lassen sich über den Button neben dem Namen einige der Person bereits zugeordnete Publikationen anzeigen.

 

Weitere Publikationen von Autoren mit dem selben Namen

Gigascale integration (GSI) technology.. SC, Seite 534-538. ACM, (1991)Modeling technology impact on cluster microprocessor performance., , , und . IEEE Trans. Very Large Scale Integr. Syst., 11 (5): 909-920 (2003)Short channel models and scaling limits of SOI and bulk MOSFETs., , , und . IEEE J. Solid State Circuits, 29 (2): 122-125 (Februar 1994)Sea of leads (SoL) characterization and design for compatibility with board-level optical waveguide interconnection., , , , , und . CICC, Seite 491-494. IEEE, (2002)IntSim: A CAD tool for optimization of multilevel interconnect networks., , , , und . ICCAD, Seite 560-567. IEEE Computer Society, (2007)A physical model for the transient response of capacitively loaded distributed rlc interconnects., , und . DAC, Seite 763-766. ACM, (2002)CMOS system-on-a-chip voltage scaling beyond 50nm., , , und . ACM Great Lakes Symposium on VLSI, Seite 7-12. ACM, (2000)Architecture of the Atlas Chip-Multiprocessor: Dynamically Parallelizing Irregular Applications., , und . IEEE Trans. Computers, 50 (1): 67-82 (2001)Revolutionary NanoSilicon Ancillary Technologies for Ultimate-Performance Gigascale Systems., , und . CICC, Seite 421-428. IEEE, (2007)Relative inductance extraction method., und . CICC, Seite 481-484. IEEE, (2004)