Author of the publication

Simulation-Based Bug Trace Minimization With BMC-Based Refinement.

, , and . IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 26 (1): 152-165 (2007)

Please choose a person to relate this publication to

To differ between persons with the same name, the academic degree and the title of an important publication will be displayed. You can also use the button next to the name to display some publications already assigned to the person.

 

Other publications of authors with the same name

ArChiVED: Architectural checking via event digests for high performance validation., , , , and . DATE, page 1-6. European Design and Automation Association, (2014)High-radix on-chip networks with low-radix routers., , and . ICCAD, page 289-294. IEEE, (2014)Machine learning-based anomaly detection for post-silicon bug diagnosis., , , and . DATE, page 491-496. EDA Consortium San Jose, CA, USA / ACM DL, (2013)Post-silicon debugging for multi-core designs.. ASP-DAC, page 255-258. IEEE, (2010)Depth-driven verification of simultaneous interfaces., , and . ASP-DAC, page 442-447. IEEE, (2006)STACCATO: disjoint support decompositions from BDDs through symbolic kernels., and . ASP-DAC, page 276-279. ACM Press, (2005)PowerRanger: Assessing circuit vulnerability to power attacks using SAT-based static analysis., and . HLDVT, page 54-59. IEEE Computer Society, (2009)Using Field-Repairable Control Logic to Correct Design Errors in Microprocessors., , and . IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 27 (2): 380-393 (2008)SAGA: SystemC acceleration on GPU architectures., , , and . DAC, page 115-120. ACM, (2012)Microarchitectural power modeling techniques for deep sub-micron microprocessors., , , , and . ISLPED, page 212-217. ACM, (2004)