Author of the publication

Proactive aging management in heterogeneous NoCs through a criticality-driven routing approach.

, , and . DATE, page 1032-1037. EDA Consortium San Jose, CA, USA / ACM DL, (2013)

Please choose a person to relate this publication to

To differ between persons with the same name, the academic degree and the title of an important publication will be displayed. You can also use the button next to the name to display some publications already assigned to the person.

 

Other publications of authors with the same name

HCI-tolerant NoC router microarchitecture., , , and . DAC, page 40:1-40:10. ACM, (2013)Exploring high throughput computing paradigm for global routing., , , and . ICCAD, page 298-305. IEEE Computer Society, (2011)Mitigating NBTI in the physical register file through stress prediction., , , and . ICCD, page 345-351. IEEE Computer Society, (2012)Efficiently tolerating timing violations in pipelined microprocessors., , , and . DAC, page 102:1-102:8. ACM, (2013)Proactive aging management in heterogeneous NoCs through a criticality-driven routing approach., , and . DATE, page 1032-1037. EDA Consortium San Jose, CA, USA / ACM DL, (2013)Runtime Detection of a Bandwidth Denial Attack from a Rogue Network-on-Chip., , , and . NOCS, page 8:1-8:8. ACM, (2015)Tackling voltage emergencies in NoC through timing error resilience., , , and . ISLPED, page 104-109. IEEE, (2015)Wearout Resilience in NoCs Through an Aging Aware Adaptive Routing Algorithm., , , and . IEEE Trans. Very Large Scale Integr. Syst., 23 (2): 369-373 (2015)Fort-NoCs: Mitigating the Threat of a Compromised NoC., , and . DAC, page 158:1-158:6. ACM, (2014)DMR3D: dynamic memory relocation in 3D multicore systems., , and . DAC, page 157:1-157:9. ACM, (2013)