Author of the publication

On the Viability of Using LLMs for SW/HW Co-Design: An Example in Designing CiM DNN Accelerators.

, , , and . SOCC, page 1-6. IEEE, (2023)

Please choose a person to relate this publication to

To differ between persons with the same name, the academic degree and the title of an important publication will be displayed. You can also use the button next to the name to display some publications already assigned to the person.

 

Other publications of authors with the same name

Partial Unbalanced Feature Transport for Cross-Modality Cardiac Image Segmentation., , , , , , , and . IEEE Trans. Medical Imaging, 42 (6): 1758-1773 (June 2023)Fast Analysis of a Large-Scale Inductive Interconnect by Block-Structure-Preserved Macromodeling., , , , , and . IEEE Trans. Very Large Scale Integr. Syst., 18 (10): 1399-1411 (2010)A Face Alignment Accelerator Based on Optimized Coarse-to-Fine Shape Searching., , , , , , , and . IEEE Trans. Circuits Syst. Video Technol., 29 (8): 2467-2481 (2019)On Random Dynamic Voltage Scaling for Internet-of-Things: A Game-Theoretic Approach., , , and . IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 37 (1): 123-132 (2018)Fast Random Walk Based Capacitance Extraction for the 3-D IC Structures With Cylindrical Inter-Tier-Vias., , , and . IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 34 (12): 1977-1990 (2015)Through-Silicon Via Fault-Tolerant Clock Networks for 3-D ICs., , , , and . IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 32 (7): 1100-1109 (2013)Hardware/Software Co-Exploration of Neural Architectures., , , , , , , and . IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 39 (12): 4805-4815 (2020)Demand-Side Management of Domestic Electric Water Heaters Using Approximate Dynamic Programming., , , , , and . IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 36 (5): 775-788 (2017)Efficient Decoupling Capacitance Budgeting Considering Operation and Process Variations., , , and . IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 27 (7): 1253-1263 (2008)Dynamic Frequency Scaling Aware Opportunistic Through-Silicon-Via Inductor Utilization in Resonant Clocking., , , and . IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 39 (2): 281-293 (2020)