Author of the publication

CrashTest: A fast high-fidelity FPGA-based resiliency analysis framework.

, , , , , and . ICCD, page 363-370. IEEE Computer Society, (2008)

Please choose a person to relate this publication to

To differ between persons with the same name, the academic degree and the title of an important publication will be displayed. You can also use the button next to the name to display some publications already assigned to the person.

 

Other publications of authors with the same name

Architecting a reliable CMP switch architecture., , , , , , , and . ACM Trans. Archit. Code Optim., 4 (1): 2 (2007)Ultra low-cost defect protection for microprocessor pipelines., , , , and . ASPLOS, page 73-82. ACM, (2006)CrashTest: A fast high-fidelity FPGA-based resiliency analysis framework., , , , , and . ICCD, page 363-370. IEEE Computer Society, (2008)Online Low-Cost Defect Tolerance Solutions for Microprocessor Designs.. University of Michigan, USA, (2009)Software-Based Online Detection of Hardware Defects Mechanisms, Architectural Support, and Evaluation., , , and . MICRO, page 97-108. IEEE Computer Society, (2007)The Significance of Affectors and Affectees Correlations for Branch Prediction., , , and . HiPEAC, volume 4917 of Lecture Notes in Computer Science, page 243-257. Springer, (2008)Perturbation-based Fault Screening., , , and . HPCA, page 169-180. IEEE Computer Society, (2007)Improving Branch Prediction by Considering Affectors and Affectees Correlations., , , and . Trans. High Perform. Embed. Archit. Compil., (2011)A Flexible Software-Based Framework for Online Detection of Hardware Defects., , , and . IEEE Trans. Computers, 58 (8): 1063-1079 (2009)Online design bug detection: RTL analysis, flexible mechanisms, and evaluation., , and . MICRO, page 282-293. IEEE Computer Society, (2008)