Autor der Publikation

Effects of Nondeterminism in Hardware and Software Simulation with Thread Mapping.

, , , , und . VLSID, Seite 129-134. IEEE Computer Society, (2015)

Bitte wählen Sie eine Person um die Publikation zuzuordnen

Um zwischen Personen mit demselben Namen zu unterscheiden, wird der akademische Grad und der Titel einer wichtigen Publikation angezeigt. Zudem lassen sich über den Button neben dem Namen einige der Person bereits zugeordnete Publikationen anzeigen.

 

Weitere Publikationen von Autoren mit dem selben Namen

Platform-independent analysis of function-level communication in workloads., und . IISWC, Seite 196-206. IEEE Computer Society, (2013)Synchrotrace: synchronization-aware architecture-agnostic traces for light-weight multicore simulation., , , , , und . ISPASS, Seite 278-287. IEEE Computer Society, (2015)Evaluation of an accelerator architecture for speckle reducing anisotropic diffusion., , , , und . CASES, Seite 185-194. ACM, (2011)Static thread mapping for NoCs via binary instrumentation traces., , , , und . ICCD, Seite 517-520. IEEE Computer Society, (2014)Epoch Profiles: Microarchitecture-Based Application Analysis and Optimization., , , und . IEEE Comput. Archit. Lett., 14 (1): 30-33 (2015)Effects of Nondeterminism in Hardware and Software Simulation with Thread Mapping., , , , und . VLSID, Seite 129-134. IEEE Computer Society, (2015)Can You Trust Your Memory Trace? A Comparison of Memory Traces from Binary Instrumentation and Simulation., , , und . VLSID, Seite 135-140. IEEE Computer Society, (2015)Metrics for Early-Stage Modeling of Many-Accelerator Architectures., , und . IEEE Comput. Archit. Lett., 12 (1): 25-28 (2013)SynchroTrace: Synchronization-Aware Architecture-Agnostic Traces for Lightweight Multicore Simulation of CMP and HPC Workloads., , , , , , , und . ACM Trans. Archit. Code Optim., 15 (1): 2:1-2:26 (2018)