Author of the publication

Nb 9-Layer Fabrication Process for Superconducting Large-Scale SFQ Circuits and Its Process Evaluation.

, , , , , , , , and . IEICE Trans. Electron., 97-C (3): 132-140 (2014)

Please choose a person to relate this publication to

To differ between persons with the same name, the academic degree and the title of an important publication will be displayed. You can also use the button next to the name to display some publications already assigned to the person.

 

Other publications of authors with the same name

Foreword.. IEICE Trans. Electron., 99-C (6): 667-668 (2016)Development of Passive Interconnection Technology for SFQ Circuits., , , , , and . IEICE Trans. Electron., 88-C (2): 198-207 (2005)Design of Variable Bit-Width Arithmetic Unit Using Single Flux Quantum Device., , , , , , , , , and 2 other author(s). ISCAS, page 3547-3551. IEEE, (2022)Superconductive Single-Flux-Quantum Circuit/System Technology and 40Gb/s Switch System Demonstration., , , , , , , , , and . ISSCC, page 532-533. IEEE, (2008)Automated Passive-Transmission-Line Routing Tool for Single-Flux-Quantum Circuits Based on A* Algorithm., , , , , , , , and . IEICE Trans. Electron., 93-C (4): 435-439 (2010)Direct measurements of propagation delay of single-flux-quantum circuits by time-to-digital converters., , , , and . IEICE Electron. Express, 5 (9): 332-337 (2008)Superconducting digital electronics., , , and . Proc. IEEE, 92 (10): 1549-1563 (2004)NbN Josephson Junctions for Single-Flux-Quantum Circuits., , , and . IEICE Trans. Electron., 94-C (3): 301-306 (2011)Design and High-Speed Demonstration of Single-Flux-Quantum Bit-Serial Floating-Point Multipliers Using a 10kA/cm2 Nb Process., , , , , , and . IEICE Trans. Electron., 97-C (3): 188-193 (2014)Development of an Advanced Circuit Model for Superconducting Strip Line Detector Arrays., , , , , , and . IEICE Trans. Electron., 99-C (6): 676-682 (2016)