Author of the publication

Carbon nanotube circuits: opportunities and challenges.

, , , , , , , , and . DATE, page 619-624. EDA Consortium San Jose, CA, USA / ACM DL, (2013)

Please choose a person to relate this publication to

To differ between persons with the same name, the academic degree and the title of an important publication will be displayed. You can also use the button next to the name to display some publications already assigned to the person.

 

Other publications of authors with the same name

Transforming nanodevices to next generation nanosystems., , , and . SAMOS, page 288-292. IEEE, (2016)SHARC: Self-Healing Analog with RRAM and CNFETs., , , , and . ISSCC, page 470-472. IEEE, (2019)The N3XT Approach to Energy-Efficient Abundant-Data Computing., , , , , , , , , and 1 other author(s). Proc. IEEE, 107 (1): 19-48 (2019)Design Space Exploration and Optimization for Carbon-Efficient Extended Reality Systems., , , , , , , , , and 1 other author(s). CoRR, (2023)TRIG: hardware accelerator for inference-based applications and experimental demonstration using carbon nanotube FETs., , , , , , , , , and 2 other author(s). DAC, page 74:1-74:10. ACM, (2018)Time-based sensor interface circuits in carbon nanotube technology., , , , , and . ISCAS, page 2924-2927. IEEE, (2015)Energy-Efficient Abundant-Data Computing: The N3XT 1, 000x., , , , , , , , , and 10 other author(s). Computer, 48 (12): 24-33 (2015)Architectural CO2 Footprint Tool: Designing Sustainable Computer Systems With an Architectural Carbon Modeling Tool., , , , , , and . IEEE Micro, 43 (4): 107-117 (July 2023)Rapid exploration of processing and design guidelines to overcome carbon nanotube variations., , , , , , and . DAC, page 105:1-105:10. ACM, (2013)Carbon-Efficient Design Optimization for Computing Systems., , , , , , , , , and 1 other author(s). HotCarbon, page 16:1-16:7. ACM, (2023)