Author of the publication

Please choose a person to relate this publication to

To differ between persons with the same name, the academic degree and the title of an important publication will be displayed. You can also use the button next to the name to display some publications already assigned to the person.

 

Other publications of authors with the same name

Transforming nanodevices to next generation nanosystems., , , and . SAMOS, page 288-292. IEEE, (2016)Advances in Carbon Nanotube Technologies: From Transistors to a RISC-V Microprocessor., , , , , , , and . ISPD, page 33-38. ACM, (2020)Sensor-to-Digital Interface Built Entirely With Carbon Nanotube FETs., , , , , , , and . IEEE J. Solid State Circuits, 49 (1): 190-201 (2014)Advances in Carbon Nanotube Technologies: From Transistors to a RISC-V Microprocessor.. ISPD, page 73. ACM, (2021)Foundry Monolithic 3D BEOL Transistor + Memory Stack: Iso-performance and Iso-footprint BEOL Carbon Nanotube FET+RRAM vs. FEOL Silicon FET+RRAM., , , , , , , , , and 1 other author(s). VLSI Technology and Circuits, page 1-2. IEEE, (2023)Carbon nanotube circuits: opportunities and challenges., , , , , , , , and . DATE, page 619-624. EDA Consortium San Jose, CA, USA / ACM DL, (2013)Robust design and experimental demonstrations of carbon nanotube digital circuits., , , , , and . CICC, page 1-4. IEEE, (2014)Time-based sensor interface circuits in carbon nanotube technology., , , , , and . ISCAS, page 2924-2927. IEEE, (2015)Architectural CO2 Footprint Tool: Designing Sustainable Computer Systems With an Architectural Carbon Modeling Tool., , , , , , and . IEEE Micro, 43 (4): 107-117 (July 2023)Energy-Efficient Abundant-Data Computing: The N3XT 1, 000x., , , , , , , , , and 10 other author(s). Computer, 48 (12): 24-33 (2015)