From post

Please choose a person to relate this publication to

To differ between persons with the same name, the academic degree and the title of an important publication will be displayed.

 

Другие публикации лиц с тем же именем

Collaborative voltage scaling with online STA and variable-latency datapath., , , , , , , и . ACM Great Lakes Symposium on VLSI, стр. 347-352. ACM, (2010)Separate Clock Network Voltage for Correcting Random Errors in ULV Clocked Storage Cells., , , , , , , , и . IEEE Trans. Circuits Syst. II Express Briefs, 61-II (12): 947-951 (2014)PVT-aware digital controlled voltage regulator design for ultra-low-power (ULP) DVFS systems., , , , , и . SoCC, стр. 136-139. IEEE, (2014)Low-Voltage Low-Power CMOS True-Single-Phase Clocking Scheme with Locally Asynchronous Logic Circuits., , , , , и . ISCAS, стр. 1572-1575. IEEE, (1995)A Sub-0.3 V Area-Efficient L-Shaped 7T SRAM With Read Bitline Swing Expansion Schemes Based on Boosted Read-Bitline, Asymmetric-VTH Read-Port, and Offset Cell VDD Biasing Techniques., , , , , , , , , и 1 other автор(ы). IEEE J. Solid State Circuits, 48 (10): 2558-2569 (2013)A dual-edged triggered explicit-pulsed level converting flip-flop with a wide operation range., , , , , , и . SoCC, стр. 92-97. IEEE, (2013)A Large Sigma V TH /VDD Tolerant Zigzag 8T SRAM With Area-Efficient Decoupled Differential Sensing and Fast Write-Back Scheme., , , , , , , , , и . IEEE J. Solid State Circuits, 46 (4): 815-827 (2011)A 260mV L-shaped 7T SRAM with bit-line (BL) Swing expansion schemes based on boosted BL, asymmetric-VTH read-port, and offset cell VDD biasing techniques., , , , , , , , , и 2 other автор(ы). VLSIC, стр. 112-113. IEEE, (2012)Energy-efficient RISC design with on-demand circuit-level timing speculation., , , , и . ASP-DAC, стр. 477-478. IEEE, (2012)A 3 MHz-to-1.8 GHz 94 μW-to-9.5 mW 0.0153-mm2 all-digital delay-locked loop in 65-nm CMOS., , , , , , и . A-SSCC, стр. 361-364. IEEE, (2014)