Autor der Publikation

Optimization of quantum circuits for interaction distance in linear nearest neighbor architectures.

, , und . DAC, Seite 41:1-41:6. ACM, (2013)

Bitte wählen Sie eine Person um die Publikation zuzuordnen

Um zwischen Personen mit demselben Namen zu unterscheiden, wird der akademische Grad und der Titel einer wichtigen Publikation angezeigt. Zudem lassen sich über den Button neben dem Namen einige der Person bereits zugeordnete Publikationen anzeigen.

 

Weitere Publikationen von Autoren mit dem selben Namen

Minimizing the energy-delay product of SRAM arrays using a device-circuit-architecture co-optimization framework., , und . DAC, Seite 107:1-107:6. ACM, (2016)A thermally-aware energy minimization methodology for global interconnects., , , und . DATE, Seite 1213-1218. IEEE, (2017)Reversible logic synthesis of k-input, m-output lookup tables., , und . DATE, Seite 1235-1240. EDA Consortium San Jose, CA, USA / ACM DL, (2013)A placement algorithm for superconducting logic circuits based on cell grouping and super-cell placement., , und . DATE, Seite 1465-1468. IEEE, (2018)Design of a universal logic block for fault-tolerant realization of any logic operation in trapped-ion quantum circuits., , , und . Quantum Inf. Process., 13 (5): 1267-1299 (2014)Optimizing the Operating Voltage of Tunnel FET-Based SRAM Arrays Equipped with Read/Write Assist Circuitry., , und . ACM Great Lakes Symposium on VLSI, Seite 415-420. ACM, (2016)A Less Biased Evaluation of Out-of-distribution Sample Detectors., , und . BMVC, Seite 3. BMVA Press, (2019)Low write-energy STT-MRAMs using FinFET-based access transistors., , und . ICCD, Seite 374-379. IEEE Computer Society, (2014)Squash 2: a hierarchical scalable quantum mapper considering ancilla sharing., , und . Quantum Inf. Comput., 16 (3&4): 332-356 (2016)Cofactor Sharing for Reversible Logic Synthesis., , und . ACM J. Emerg. Technol. Comput. Syst., 11 (2): 14:1-14:21 (2014)