Author of the publication

Please choose a person to relate this publication to

To differ between persons with the same name, the academic degree and the title of an important publication will be displayed. You can also use the button next to the name to display some publications already assigned to the person.

 

Other publications of authors with the same name

Sub-μW standby power, <18 μW/DMIPS@25MHz MCU with embedded atom-switch programmable logic and ROM., , , , , , , , , and . VLSIC, page 86-. IEEE, (2015)Sensor Signal Processing Using High-Level Synthesis With a Layered Architecture., , , , , , , , , and 4 other author(s). IEEE Embed. Syst. Lett., 10 (4): 119-122 (2018)A non-volatile reconfigurable offloader for wireless sensor nodes., , , , and . SIGARCH Comput. Archit. News, 40 (5): 87-92 (2012)A Silicon-on-Thin-Buried-Oxide CMOS Microcontroller with Embedded Atom-Switch ROM., , , , , , , , , and 8 other author(s). IEEE Micro, 35 (6): 13-23 (2015)NanoBridge-Based FPGA in High-Temperature Environments., , , , , , , , , and 7 other author(s). IEEE Micro, 37 (5): 32-42 (2017)Via-Switch FPGA: 65-nm CMOS Implementation and Evaluation., , , , , , , , , and 1 other author(s). IEEE J. Solid State Circuits, 57 (7): 2250-2262 (2022)A 171k-LUT Nonvolatile FPGA using Cu Atom-Switch Technology in 28nm CMOS., , , , , , , , , and 1 other author(s). FPL, page 323-327. IEEE, (2020)Low-power programmable-logic cell arrays using nonvolatile complementary atom switch., , , , , , and . ISQED, page 330-334. IEEE, (2014)Programmable cell array using rewritable solid-electrolyte switch integrated in 90nm CMOS., , , , , , , , , and 2 other author(s). ISSCC, page 228-229. IEEE, (2011)0.5-V Highly Power-Efficient Programmable Logic using Nonvolatile Configuration Switch in BEOL., , , , , , , and . FPGA, page 236-239. ACM, (2015)