Author of the publication

A Gracefully Degrading and Energy-Efficient Modular Router Architecture for On-Chip Networks.

, , , , , and . ISCA, page 4-15. IEEE Computer Society, (2006)

Please choose a person to relate this publication to

To differ between persons with the same name, the academic degree and the title of an important publication will be displayed. You can also use the button next to the name to display some publications already assigned to the person.

 

Other publications of authors with the same name

On the Effects of Process Variation in Network-on-Chip Architectures., , , , , , and . IEEE Trans. Dependable Secur. Comput., 7 (3): 240-254 (2010)ViChaR: A Dynamic Virtual Channel Regulator for Network-on-Chip Routers., , , , , and . MICRO, page 333-346. IEEE Computer Society, (2006)MIRA: A Multi-layered On-Chip Interconnect Router Architecture., , , , , , and . ISCA, page 251-261. IEEE Computer Society, (2008)MoDe-X: Microarchitecture of a Layout-Aware Modular Decoupled Crossbar for On-Chip Interconnects., , , and . IEEE Trans. Computers, 63 (3): 622-636 (2014)Performance and power optimization through data compression in Network-on-Chip architectures., , , , , , , and . HPCA, page 215-225. IEEE Computer Society, (2008)A Hybrid SoC Interconnect with Dynamic TDMA-Based Transaction-Less Buses and On-Chip Networks., , , , , , and . VLSI Design, page 657-664. IEEE Computer Society, (2006)A novel dimensionally-decomposed router for on-chip communication in 3D architectures., , , , , , , and . ISCA, page 138-149. ACM, (2007)A Distributed Multi-Point Network Interface for Low-Latency, Deadlock-Free On-Chip Interconnects., , , , and . Nano-Net, page 1-6. IEEE, (2006)A Gracefully Degrading and Energy-Efficient Modular Router Architecture for On-Chip Networks., , , , , and . ISCA, page 4-15. IEEE Computer Society, (2006)FPGA-based prototyping of a 2D MESH / TORUS on-chip interconnect (abstract only)., , , , , , , , , and . FPGA, page 293. ACM, (2010)