Author of the publication

Subthreshold-leakage suppressed switched capacitor circuit based on super cut-off CMOS (SCCMOS).

, , , , and . ISCAS (4), page 3119-3122. IEEE, (2005)

Please choose a person to relate this publication to

To differ between persons with the same name, the academic degree and the title of an important publication will be displayed. You can also use the button next to the name to display some publications already assigned to the person.

 

Other publications of authors with the same name

A 0.33 nJ/bit IEEE802.15.6/Proprietary MICS/ISM Wireless Transceiver With Scalable Data Rate for Medical Implantable Applications., , , , , , , , , and 6 other author(s). IEEE J. Biomed. Health Informatics, 19 (3): 920-929 (2015)A fully integrated triple-band CMOS power amplifier for WCDMA mobile handsets., , , , , , , , , and 10 other author(s). ISSCC, page 86-88. IEEE, (2012)A Single-40 Gb/s Dual-20 Gb/s Serializer IC With SFI-5.2 Interface in 65 nm CMOS., , , , , , , , , and 8 other author(s). IEEE J. Solid State Circuits, 44 (12): 3580-3589 (2009)Radio channel characterization for 400 MHz implanted devices., , , , , , , and . WCNC, page 293-298. IEEE, (2014)Managing subthreshold leakage in charge-based analog circuits with low-VTH transistors by analog T- switch (AT-switch) and super cut-off CMOS (SCCMOS)., , , , and . IEEE J. Solid State Circuits, 41 (4): 859-867 (2006)MAQO: A Scalable Many-Core Annealer for Quadratic Optimization on a Stratix 10 FPGA., , , , , and . FPGA, page 155. ACM, (2022)A Permutational Boltzmann Machine with Parallel Tempering for Solving Combinatorial Optimization Problems., , , , , and . PPSN (1), volume 12269 of Lecture Notes in Computer Science, page 317-331. Springer, (2020)90% write power-saving SRAM using sense-amplifying memory cell., , and . IEEE J. Solid State Circuits, 39 (6): 927-933 (2004)A 60-GHz 1.65mW 25.9% locking range multi-order LC oscillator based injection locked frequency divider in 65nm CMOS., , , , , , and . CICC, page 1-4. IEEE, (2010)A 60-GHz Injection-Locked Frequency Divider Using Multi-Order LC Oscillator Topology for Wide Locking Range., , , , , , and . IEICE Trans. Electron., 94-C (6): 1049-1052 (2011)