From post

A Method for Fast Evaluation of Sharing Set Management Strategies in Cache Coherence Protocols.

, , , и . ARCS, том 10172 из Lecture Notes in Computer Science, стр. 111-123. Springer, (2017)

Please choose a person to relate this publication to

To differ between persons with the same name, the academic degree and the title of an important publication will be displayed.

 

Другие публикации лиц с тем же именем

2.3 A 220GOPS 96-Core Processor with 6 Chiplets 3D-Stacked on an Active Interposer Offering 0.6ns/mm Latency, 3Tb/s/mm2 Inter-Chiplet Interconnects and 156mW/mm2@ 82%-Peak-Efficiency DC-DC Converters., , , , , , , , , и 18 other автор(ы). ISSCC, стр. 46-48. IEEE, (2020)Introduction of Fault-Tolerance Mechanisms for Permanent Failures in Coherent Shared-Memory Many-Core Architectures. (Introduction de mécanismes de tolérance aux pannes franches dans les architectures de processeur « many-core » à mémoire partagée cohérente).. Pierre and Marie Curie University, Paris, France, (2015)Accelerating Variants of the Conjugate Gradient with the Variable Precision Processor., , , , , и . ARITH, стр. 51-57. IEEE, (2022)POPSTAR: a Robust Modular Optical NoC Architecture for Chiplet-based 3D Integrated Systems., , , , , , , , , и 5 other автор(ы). DATE, стр. 1456-1461. IEEE, (2020)HPDcache: Open-Source High-Performance L1 Data Cache for RISC-V Cores.. CF, стр. 377-378. ACM, (2023)Storage Class Memory with Computing Row Buffer: A Design Space Exploration., , , , , , , , , и . DATE, стр. 1-6. IEEE, (2021)WAVES: Wavelength Selection for Power-Efficient 2.5D-Integrated Photonic NoCs., , , , и . DATE, стр. 516-521. IEEE, (2019)