Author of the publication

Please choose a person to relate this publication to

To differ between persons with the same name, the academic degree and the title of an important publication will be displayed. You can also use the button next to the name to display some publications already assigned to the person.

 

Other publications of authors with the same name

SoCProbe: Compositional Post-Silicon Validation of Heterogeneous NoC-Based SoCs., , , , , , , , and . IEEE Des. Test, 40 (6): 64-75 (December 2023)A Scalable Methodology for Agile Chip Development with Open-Source Hardware Components., , , , , , , , , and 8 other author(s). ICCAD, page 20:1-20:9. ACM, (2022)Agile SoC Development with Open ESP : Invited Paper., , , , , , , , and . ICCAD, page 96:1-96:9. IEEE, (2020)DECADES: A 67mm2, 1.46TOPS, 55 Giga Cache-Coherent 64-bit RISC-V Instructions per second, Heterogeneous Manycore SoC with 109 Tiles including Accelerators, Intelligent Storage, and eFPGA in 12nm FinFET., , , , , , , , , and 7 other author(s). CICC, page 1-2. IEEE, (2023)Enabling Heterogeneous, Multicore SoC Research with RISC-V and ESP., , , and . CoRR, (2022)A 12nm Agile-Designed SoC for Swarm-Based Perception with Heterogeneous IP Blocks, a Reconfigurable Memory Hierarchy, and an 800MHz Multi-Plane NoC., , , , , , , , , and 8 other author(s). ESSCIRC, page 269-272. IEEE, (2022)Agile SoC Development with Open ESP., , , , , , , , and . CoRR, (2020)14.5 A 12nm Linux-SMP-Capable RISC-V SoC with 14 Accelerator Types, Distributed Hardware Power Management and Flexible NoC-Based Data Orchestration., , , , , , , , , and 19 other author(s). ISSCC, page 262-264. IEEE, (2024)A 12nm 18.1TFLOPs/W Sparse Transformer Processor with Entropy-Based Early Exit, Mixed-Precision Predication and Fine-Grained Power Management., , , , , , , , , and 4 other author(s). ISSCC, page 342-343. IEEE, (2023)Cohmeleon: Learning-Based Orchestration of Accelerator Coherence in Heterogeneous SoCs., , , , and . MICRO, page 350-365. ACM, (2021)