Autor der Publikation

Bitte wählen Sie eine Person um die Publikation zuzuordnen

Um zwischen Personen mit demselben Namen zu unterscheiden, wird der akademische Grad und der Titel einer wichtigen Publikation angezeigt. Zudem lassen sich über den Button neben dem Namen einige der Person bereits zugeordnete Publikationen anzeigen.

 

Weitere Publikationen von Autoren mit dem selben Namen

Comparison of 65nm LP bulk and LP PD-SOI with adaptive power gate body bias for an LDPC codec., , , , , , , und . ISSCC, Seite 336-337. IEEE, (2011)Fine grain multi-VT co-integration methodology in UTBB FD-SOI technology., , , , , , und . VLSI-SoC, Seite 168-173. IEEE, (2013)Multipliers-Driven Perturbation of Coefficients for Low-Power Operation in Reconfigurable FIR Filters., , , und . IEEE Trans. Circuits Syst. I Regul. Pap., 64-I (9): 2388-2400 (2017)Multiple-pulse dynamic stability and failure analysis of low-voltage 6T-SRAM bitcells in 28nm UTBB-FDSOI., , , , , , , und . ISCAS, Seite 1452-1455. IEEE, (2013)27.1 A 460MHz at 397mV, 2.6GHz at 1.3V, 32b VLIW DSP, embedding FMAX tracking., , , , , , , , , und 12 andere Autor(en). ISSCC, Seite 452-453. IEEE, (2014)Converting an Embedded Low-Power SRAM from Bulk to PD-SOI., und . MTDT, Seite 163-167. IEEE Computer Society, (2002)Ultra-wide body-bias range LDPC decoder in 28nm UTBB FDSOI technology., , , , , , , , , und 4 andere Autor(en). ISSCC, Seite 424-425. IEEE, (2013)Process and design solutions for exploiting FD-SOI technology towards energy efficient SOCs.. ISLPED, Seite 127-130. ACM, (2014)UTBB FD-SOI: a process/design symbiosis for breakthrough energy-efficiency., , und . DATE, Seite 952-957. EDA Consortium San Jose, CA, USA / ACM DL, (2013)Bottom-up digital system-level reliability modeling., , , , , , , , und . CICC, Seite 1-4. IEEE, (2011)