Author of the publication

Please choose a person to relate this publication to

To differ between persons with the same name, the academic degree and the title of an important publication will be displayed. You can also use the button next to the name to display some publications already assigned to the person.

 

Other publications of authors with the same name

Strain and layout management in dual channel (sSOI substrate, SiGe channel) planar FDSOI MOSFETs., , , , , , , , , and 17 other author(s). ESSDERC, page 106-109. IEEE, (2014)A 3 GHz Dual Core Processor ARM Cortex TM -A9 in 28 nm UTBB FD-SOI CMOS With Ultra-Wide Voltage Range and Energy Efficiency Optimization., , , , , , , , , and 11 other author(s). IEEE J. Solid State Circuits, 49 (4): 812-826 (2014)A tunable and versatile 28nm FD-SOI crossbar output circuit for low power analog SNN inference with eNVM synapses., , , , , , , , , and . CoRR, (2023)Challenges and opportunity in performance, variability and reliability in sub-45 nm CMOS technologies., , , , , and . Microelectron. Reliab., 51 (9-11): 1508-1514 (2011)Artificial Intelligence: Why moving it to the Edge?, , , , and . ESSCIRC, page 1-6. IEEE, (2021)28nm FDSOI Platform with Embedded PCM for IoT, ULP, Digital, Analog, Automotive and others Applications., , , , , and . ESSDERC, page 7-10. IEEE, (2019)Ultra-wide body-bias range LDPC decoder in 28nm UTBB FDSOI technology., , , , , , , , , and 4 other author(s). ISSCC, page 424-425. IEEE, (2013)Improving Ge-rich GST ePCM reliability through BEOL engineering., , , , , , , , , and 27 other author(s). ESSDERC, page 231-234. IEEE, (2021)An 18nm ePCM with BJT selector NVM design for advanced microcontroller applications., , , , , , , and . IMW, page 1-4. IEEE, (2023)28nm FDSOI technology sub-0.6V SRAM Vmin assessment for ultra low voltage applications., , , , , , , , , and 11 other author(s). VLSI Circuits, page 1-2. IEEE, (2016)