Autor der Publikation

Low-Overhead Maximum Power Point Tracking for Micro-Scale Solar Energy Harvesting Systems.

, , , und . VLSI Design, Seite 215-220. IEEE Computer Society, (2012)

Bitte wählen Sie eine Person um die Publikation zuzuordnen

Um zwischen Personen mit demselben Namen zu unterscheiden, wird der akademische Grad und der Titel einer wichtigen Publikation angezeigt. Zudem lassen sich über den Button neben dem Namen einige der Person bereits zugeordnete Publikationen anzeigen.

 

Weitere Publikationen von Autoren mit dem selben Namen

IMPACT: imprecise adders for low-power approximate computing., , , , und . ISLPED, Seite 409-414. IEEE/ACM, (2011)Process variation tolerant SRAM array for ultra low voltage applications., , , und . DAC, Seite 108-113. ACM, (2008)Exploring Asynchronous Design Techniques for Process-Tolerant and Energy-Efficient Subthreshold Operation., , und . IEEE J. Solid State Circuits, 45 (2): 401-410 (2010)On-Chip Variability Sensor Using Phase-Locked Loop for Detecting and Correcting Parametric Timing Failures., , , und . IEEE Trans. Very Large Scale Integr. Syst., 18 (2): 270-280 (2010)System-Level Power Analysis of a Multicore Multipower Domain Processor With ON-Chip Voltage Regulators., , , , , , und . IEEE Trans. Very Large Scale Integr. Syst., 24 (12): 3468-3476 (2016)Layout-aware optimization of stt mrams., , , und . DATE, Seite 1455-1458. IEEE, (2012)Future cache design using STT MRAMs for improved energy efficiency: devices, circuits and architecture., , , , und . DAC, Seite 492-497. ACM, (2012)NBTI induced performance degradation in logic and memory circuits: how effectively can we approach a reliability solution?, , , und . ASP-DAC, Seite 726-731. IEEE, (2008)A 32kb 10T Subthreshold SRAM Array with Bit-Interleaving and Differential Read Scheme in 90nm CMOS., , , und . ISSCC, Seite 388-389. IEEE, (2008)Memory-based embedded digital ATE., , , und . VTS, Seite 266-271. IEEE Computer Society, (2011)