Author of the publication

Low-Overhead Maximum Power Point Tracking for Micro-Scale Solar Energy Harvesting Systems.

, , , and . VLSI Design, page 215-220. IEEE Computer Society, (2012)

Please choose a person to relate this publication to

To differ between persons with the same name, the academic degree and the title of an important publication will be displayed. You can also use the button next to the name to display some publications already assigned to the person.

 

Other publications of authors with the same name

Exploring Asynchronous Design Techniques for Process-Tolerant and Energy-Efficient Subthreshold Operation., , and . IEEE J. Solid State Circuits, 45 (2): 401-410 (2010)System-Level Power Analysis of a Multicore Multipower Domain Processor With ON-Chip Voltage Regulators., , , , , , and . IEEE Trans. Very Large Scale Integr. Syst., 24 (12): 3468-3476 (2016)On-Chip Variability Sensor Using Phase-Locked Loop for Detecting and Correcting Parametric Timing Failures., , , and . IEEE Trans. Very Large Scale Integr. Syst., 18 (2): 270-280 (2010)IMPACT: imprecise adders for low-power approximate computing., , , , and . ISLPED, page 409-414. IEEE/ACM, (2011)Process variation tolerant SRAM array for ultra low voltage applications., , , and . DAC, page 108-113. ACM, (2008)Future cache design using STT MRAMs for improved energy efficiency: devices, circuits and architecture., , , , and . DAC, page 492-497. ACM, (2012)Layout-aware optimization of stt mrams., , , and . DATE, page 1455-1458. IEEE, (2012)A 32kb 10T Subthreshold SRAM Array with Bit-Interleaving and Differential Read Scheme in 90nm CMOS., , , and . ISSCC, page 388-389. IEEE, (2008)NBTI induced performance degradation in logic and memory circuits: how effectively can we approach a reliability solution?, , , and . ASP-DAC, page 726-731. IEEE, (2008)Column-selection-enabled 8T SRAM array with ~1R/1W multi-port operation for DVFS-enabled processors., , , , , and . ISLPED, page 303-308. IEEE/ACM, (2011)