Autor der Publikation

Bitte wählen Sie eine Person um die Publikation zuzuordnen

Um zwischen Personen mit demselben Namen zu unterscheiden, wird der akademische Grad und der Titel einer wichtigen Publikation angezeigt. Zudem lassen sich über den Button neben dem Namen einige der Person bereits zugeordnete Publikationen anzeigen.

 

Weitere Publikationen von Autoren mit dem selben Namen

Improving Noise Tolerance of Hardware Accelerated Artificial Neural Networks., , , , und . ICMLA, Seite 797-801. IEEE, (2018)Bottom-up Memory Design Techniques for Energy-Efficient and Resilient Computing. University of California, Berkeley, USA, (2018)A double-tail sense amplifier for low-voltage SRAM in 28nm technology., , und . A-SSCC, Seite 181-184. IEEE, (2016)Noise-Immune Embedded NAND-ROM Using a Dynamic Split Source-Line Scheme for VDDmin and Speed Improvements., , , , , und . IEEE J. Solid State Circuits, 45 (10): 2142-2155 (2010)Sub-microsecond adaptive voltage scaling in a 28nm FD-SOI processor SoC., , , , , , , , , und 4 andere Autor(en). ESSCIRC, Seite 269-272. IEEE, (2016)An Out-of-Order RISC-V Processor with Resilient Low-Voltage Operation in 28NM CMOS., , , , und . VLSI Circuits, Seite 61-62. IEEE, (2018)Reprogrammable redundancy for cache Vmin reduction in a 28nm RISC-V processor., , , und . A-SSCC, Seite 121-124. IEEE, (2016)Non-Volatile Memory Array Based Quantization- and Noise-Resilient LSTM Neural Networks., , , , , und . ICRC, Seite 25-33. IEEE, (2019)BROOM: An Open-Source Out-of-Order Processor With Resilient Low-Voltage Operation in 28-nm CMOS., , , , und . IEEE Micro, 39 (2): 52-60 (2019)A Dual-Core RISC-V Vector Processor With On-Chip Fine-Grain Power Management in 28-nm FD-SOI., , , , , , , , , und 1 andere Autor(en). IEEE Trans. Very Large Scale Integr. Syst., 28 (12): 2721-2725 (2020)