Autor der Publikation

High-Performance CMOS Circuit Techniques for the G-4 S/390 Microprocessor.

, , , und . ICCD, Seite 247-252. IEEE Computer Society, (1997)

Bitte wählen Sie eine Person um die Publikation zuzuordnen

Um zwischen Personen mit demselben Namen zu unterscheiden, wird der akademische Grad und der Titel einer wichtigen Publikation angezeigt. Zudem lassen sich über den Button neben dem Namen einige der Person bereits zugeordnete Publikationen anzeigen.

 

Weitere Publikationen von Autoren mit dem selben Namen

Cell Broadband Engine processor: Design and implementation., , und . IBM J. Res. Dev., 51 (5): 545-558 (2007)Circuit and Physical Design of the zEnterprise™ EC12 Microprocessor Chips and Multi-Chip Module., , , , , , , , , und 15 andere Autor(en). IEEE J. Solid State Circuits, 49 (1): 9-18 (2014)Design, CAD and technology challenges for future processors: 3D perspectives., , , , , und . DAC, Seite 212. ACM, (2011)POWER7TM local clocking and clocked storage elements., , , , , , , und . ISSCC, Seite 178-179. IEEE, (2010)Circuit Design Techniques for a First-Generation Cell Broadband Engine Processor., , , , , , , , , und 4 andere Autor(en). IEEE J. Solid State Circuits, 41 (8): 1692-1706 (2006)Overview of the architecture, circuit design, and physical implementation of a first-generation cell processor., , , , , , , , , und 14 andere Autor(en). IEEE J. Solid State Circuits, 41 (1): 179-196 (2006)4.1 22nm Next-generation IBM System z microprocessor., , , , , , , , , und 21 andere Autor(en). ISSCC, Seite 1-3. IEEE, (2015)Design-synthesis co-optimisation using skewed and tapered gates., , , , , , und . DATE, Seite 1144-1147. IEEE, (2016)CMOS scaling in the 0.1-µm, 1.X-volt regime for high-performance applications., , , , , , , , , und 4 andere Autor(en). IBM J. Res. Dev., 39 (1-2): 229-244 (1995)The circuit and physical design of the POWER4 microprocessor., , , , , , , , und . IBM J. Res. Dev., 46 (1): 27-52 (2002)