From post

Please choose a person to relate this publication to

To differ between persons with the same name, the academic degree and the title of an important publication will be displayed.

 

Другие публикации лиц с тем же именем

A 3 Watt 39.8-44.6 Gb/s Dual-Mode SFI5.2 SerDes Chip Set in 65 nm CMOS., , , , , , , , , и 13 other автор(ы). IEEE J. Solid State Circuits, 45 (10): 2016-2029 (2010)22.7 4×25.78Gb/s retimer ICs for optical links in 0.13μm SiGe BiCMOS., , , , , , , , и . ISSCC, стр. 1-3. IEEE, (2015)24 to 34-Gb/s ×4 multi-rate VCSEL-based optical transceiver with referenceless CDR., , , , , , , , и . OFC, стр. 1-3. IEEE, (2016)18-GHz Clock Distribution Using a Coupled VCO Array., , , , , и . IEICE Trans. Electron., 90-C (4): 811-822 (2007)Session 6 overview: Ultra-high-speed wireline., , и . ISSCC, стр. 108-109. IEEE, (2017)A Single-40 Gb/s Dual-20 Gb/s Serializer IC With SFI-5.2 Interface in 65 nm CMOS., , , , , , , , , и 8 other автор(ы). IEEE J. Solid State Circuits, 44 (12): 3580-3589 (2009)22.8 A 24-to-35Gb/s x4 VCSEL driver IC with multi-rate referenceless CDR in 0.13um SiGe BiCMOS., , , , , , , и . ISSCC, стр. 1-3. IEEE, (2015)A 28.3 Gb/s 7.3 pJ/bit 35 dB backplane transceiver with eye sampling phase adaptation in 28 nm CMOS., , , , , , , , , и 10 other автор(ы). VLSI Circuits, стр. 1-2. IEEE, (2016)A 60-GHz Injection-Locked Frequency Divider Using Multi-Order LC Oscillator Topology for Wide Locking Range., , , , , , и . IEICE Trans. Electron., 94-C (6): 1049-1052 (2011)A 60-GHz 1.65mW 25.9% locking range multi-order LC oscillator based injection locked frequency divider in 65nm CMOS., , , , , , и . CICC, стр. 1-4. IEEE, (2010)